-----BEGIN PGP SIGNED MESSAGE----- Hash: SHA512 Format: 3.0 (quilt) Source: ghdl Binary: ghdl, ghdl-common, ghdl-mcode, ghdl-gcc, ghdl-llvm, ghdl-tools, libghdl-3-0-0, libghdl-dev Architecture: any Version: 3.0.0+dfsg2-1ubuntu1 Maintainer: Ubuntu Developers Uploaders: Andreas Bombe Homepage: https://github.com/ghdl/ghdl Standards-Version: 4.6.2 Vcs-Browser: https://salsa.debian.org/electronics-team/ghdl/ghdl Vcs-Git: https://salsa.debian.org/electronics-team/ghdl/ghdl.git Testsuite: autopkgtest Testsuite-Triggers: gcc, libc6-dev Build-Depends: debhelper-compat (= 13), dh-ada-library (>= 8.1), gnat-12, gcc-12, g++-12, gcc-12-source , libisl-dev (>= 0.14) , libmpc-dev (>= 1.0) , libmpfr-dev (>= 3.0.0-9~) , libgmp-dev (>= 2:5.0.1~) , texinfo , llvm-16-dev , clang-16 , zlib1g-dev Package-List: ghdl deb electronics optional arch=any ghdl-common deb electronics optional arch=any ghdl-gcc deb electronics optional arch=any profile=!pkg.ghdl.nogcc ghdl-llvm deb electronics optional arch=any profile=!pkg.ghdl.nollvm ghdl-mcode deb electronics optional arch=any-i386,any-amd64 profile=!pkg.ghdl.nomcode ghdl-tools deb electronics optional arch=any libghdl-3-0-0 deb electronics optional arch=any libghdl-dev deb electronics optional arch=any Checksums-Sha1: e0218e6f2e6fe403c86ce690e2e4391bd301ffb7 4497660 ghdl_3.0.0+dfsg2.orig.tar.xz 0bde889901d822b75157935781ae45863978e3a7 27232 ghdl_3.0.0+dfsg2-1ubuntu1.debian.tar.xz Checksums-Sha256: d439ecde5a5cd669ceacf00dfcde2f1090d3ae732007e110a7cdd4500bd60737 4497660 ghdl_3.0.0+dfsg2.orig.tar.xz d046c91dd977c2e21c982ba6625ba6be87d071d27c8bce5ac05576611edb69f6 27232 ghdl_3.0.0+dfsg2-1ubuntu1.debian.tar.xz Files: 7f04ba9895fc4389a5595ec09d89a115 4497660 ghdl_3.0.0+dfsg2.orig.tar.xz 36a5845dc7007b053b044c4031ccd221 27232 ghdl_3.0.0+dfsg2-1ubuntu1.debian.tar.xz Original-Maintainer: Debian Electronics Team -----BEGIN PGP SIGNATURE----- iQJGBAEBCgAwFiEErEg/aN5yj0PyIC/KVo0w8yGyEz0FAmXmDWESHHZvcmxvbkBk ZWJpYW4ub3JnAAoJEFaNMPMhshM9IgcP/jAHj216nVj18IkIE3Q68OH6tvykee8/ EE5YMBKSlq6iQcztEg38Akmv19vSZcT534wDKHkk5wkPQozJsQMlSL3KZnW+Ayrk oGN27xIFuM/ywU8cJM6Gfnu4LSGlXkQtrv9vXTdnj11LBdT0ZZ211+aYGcv+KiDT k3PAyxMmIA4I3ZtKSBdggdAeMWQ+LqMhYWaru04BvZgMTsI+y6hsvKRJx1t+9SWO fQ3QYWgSy0FTPaBZuTrrQDmkRN0en46DmnFm7WkANp1nO0tGDxQhDG7cGDLUuquc JohmUImSS11LqZIX+5JvQ4MsgvoVbCW3e+Y8XcMmCeuUVA2YeDFjTAfhDfYkLz6u O/2mz83ZKrTBiEAIECnW8IF6FaPyZArxCViNAgvhgas9HhsaR8ttYKDhU/7+YW2s mD+8B4WfsmWfbAzIln54LNQu+/fMUfEX0cjFt/txHWbYIfEg7GcCQ8AjwtfrGN6d ZXEODXETS+LjQRsmHtcDdI7FULl+JyZ6wZvP72Q8mpXRB9u6DqryNxJ0YFPC/OBo ZbUdjQKaO/smzGJTET+Zs3k+/FFQZV5s2DWpgyfPMZrp924BwyyAPnhZ9EL+A681 kSjekasScSw9+GC6LkcOEjMUs3lHZDHfFP4OR0Q5fOznxxb6PDcNWhw/NZvcfumh G99S/DxDB2nj =Zb4O -----END PGP SIGNATURE-----