-----BEGIN PGP SIGNED MESSAGE----- Hash: SHA512 Format: 3.0 (quilt) Source: ghdl Binary: ghdl, ghdl-common, ghdl-mcode, ghdl-gcc, ghdl-llvm Architecture: any Version: 1.0.0+dfsg-8 Maintainer: Debian Electronics Team Uploaders: Andreas Bombe Homepage: https://github.com/ghdl/ghdl Standards-Version: 4.6.0 Vcs-Browser: https://salsa.debian.org/electronics-team/ghdl/ghdl Vcs-Git: https://salsa.debian.org/electronics-team/ghdl/ghdl.git Testsuite: autopkgtest Testsuite-Triggers: gcc, libc6-dev Build-Depends: debhelper-compat (= 13), gnat-10, gcc-10-source , libisl-dev (>= 0.14) , libmpc-dev (>= 1.0) , libmpfr-dev (>= 3.0.0-9~) , libgmp-dev (>= 2:5.0.1~) , llvm-dev (>= 1:3.5) , clang (>= 1:3.5) , zlib1g-dev Package-List: ghdl deb electronics optional arch=any ghdl-common deb electronics optional arch=any ghdl-gcc deb electronics optional arch=any profile=!pkg.ghdl.nogcc ghdl-llvm deb electronics optional arch=any profile=!pkg.ghdl.nollvm ghdl-mcode deb electronics optional arch=any-i386,any-amd64 profile=!pkg.ghdl.nomcode Checksums-Sha1: da21ade2f2137b37b85441d617132e5485d1a760 3897228 ghdl_1.0.0+dfsg.orig.tar.xz 7c17fc0e6fad72343848abbdb0ca3322a26ef786 22448 ghdl_1.0.0+dfsg-8.debian.tar.xz Checksums-Sha256: bbd8e46118450269049cae162a9203e66d447effe921be49140e8bf64aba3f7d 3897228 ghdl_1.0.0+dfsg.orig.tar.xz fceaa46f9a7f8d41bd34972a5c3e18b5ac2004be2ef0f3b5678c3f99c50ebca9 22448 ghdl_1.0.0+dfsg-8.debian.tar.xz Files: b3cd9d127f692700f0fe4bf9aa25faf4 3897228 ghdl_1.0.0+dfsg.orig.tar.xz 17eb6fd42bfde8ab0db1d664f9186658 22448 ghdl_1.0.0+dfsg-8.debian.tar.xz -----BEGIN PGP SIGNATURE----- iQIzBAEBCgAdFiEE/fJ3FAtt+ejNyKmCMWRwWx2jHUwFAmIK/NUACgkQMWRwWx2j HUxlzw/+PUPFUB+X9uvWGxY5bU8VWuNzCvMCLpKBB9wPyrXr9lsfqvC0LuxmJq51 gUY+xD1z8T4g4u4ofYoAuxec+bISz40BMjapqCqXppNaN5mDML27mN3APHNxyyZP segLjTo/SkCGwNWNmitkl2zGCcB0IhVPzqoyeteEmd+tsrCX0EPG4yw9rePdhtk2 1t/566sx/G/RzDw1WehlbNdAQe/zlnKUZo1YiDzTDaPTcOpn1qhtWY7eL4j3jroo gCE4NZxITDl5pqMF8YXQhtsN8vO7N/Cgn9X/Of/APMgb4H3AVK2NPBjvXcyGqRsS fELiZ9a9Q23WuyiVnMfYRyZV7qPTykk3yOa0uH2K9fhDl0LNVyKWs5NjCp9mCGuV wu9HPT/i9aV87KoGbmNMWD3n25OgN0tjCPf2Dw69+GFt+d0+mPMLqfLHaBMV+/Yl uqIOz0ZuZJfsRaATYYkNA3FQwXBLDujlEnDLwz4G/M6lRtAQi7HJo4RxQxt3LkdS wYHEq33Y9G2sk4qYs1S53NqoGfSDnVzgVMS7MwN053NQaXyTDr0rAtS6OfhH521U NwqoiUNRCEoFJl6O6tDgJg4WkbIlmMdVO7meP4wDUIIbz0dhEVFyVl7Kkzb1hnlF fxFudepB/x2DXOouT4jcHdOXWQ+Nv//uLMb/oloiy8VDrMnVgHQ= =Wwt/ -----END PGP SIGNATURE-----