-----BEGIN PGP SIGNED MESSAGE----- Hash: SHA256 Format: 3.0 (quilt) Source: ghdl Binary: ghdl, ghdl-mcode, ghdl-gcc, ghdl-llvm Architecture: any Version: 0.37+dfsg-2ubuntu1 Maintainer: Debian Electronics Team Uploaders: Andreas Bombe Homepage: https://github.com/ghdl/ghdl Standards-Version: 4.2.0 Vcs-Browser: https://salsa.debian.org/electronics-team/ghdl/ghdl Vcs-Git: https://salsa.debian.org/electronics-team/ghdl/ghdl.git Build-Depends: debhelper (>= 11), gnat-9, gcc-9-source , libisl-dev (>= 0.14) , libmpc-dev (>= 1.0) , libmpfr-dev (>= 3.0.0-9~) , libgmp-dev (>= 2:5.0.1~) , llvm-dev (>= 1:3.5) , clang (>= 1:3.5) , zlib1g-dev Package-List: ghdl deb electronics optional arch=any ghdl-gcc deb electronics optional arch=any profile=!pkg.ghdl.nogcc ghdl-llvm deb electronics optional arch=any profile=!pkg.ghdl.nollvm ghdl-mcode deb electronics optional arch=any-i386,any-amd64 profile=!pkg.ghdl.nomcode Checksums-Sha1: 40396c115770893eb638a169b14d4bd20875a401 2800780 ghdl_0.37+dfsg.orig.tar.xz 27a7b41d886fdadf56c9eb4fba7101d08fc4fb03 18652 ghdl_0.37+dfsg-2ubuntu1.debian.tar.xz Checksums-Sha256: 8e784b42f23f41cf2cd207e0f0b09fee5a6380542b91b001f0e27185daba7498 2800780 ghdl_0.37+dfsg.orig.tar.xz 2e6b109d0776a88da49a3bdc322487ff6d6214a55d784173a4c8b19b7f100771 18652 ghdl_0.37+dfsg-2ubuntu1.debian.tar.xz Files: 19f7c17a7898cce7614a6fa188c23dd7 2800780 ghdl_0.37+dfsg.orig.tar.xz c9f111b1bfc5400909dd7f2f7228eab8 18652 ghdl_0.37+dfsg-2ubuntu1.debian.tar.xz -----BEGIN PGP SIGNATURE----- iQIzBAEBCAAdFiEEkpeKbhleSSGCX3/w808JdE6fXdkFAl7BexMACgkQ808JdE6f XdlIYg/+KxWgJ+5IprZ9HfuJUhfj40FH7+mukNwrSWnWzT0Xll8AR8Rubm8PttI1 d1ydQ42FHzw5qOU3jWIu8C45Kf2DFBY1nnSqR5ReDqtrhK6IdREyhK/kpCkDaaHK EBJNYfElo0kCQB0D3iMxUk+TCsjQXGY3C88HNcjulFGSdPJxX4sKBMF7yIz4Wsf6 Z8q1IOHJAM1X7hCsM53i9mibqY2HFnESpmQZMDwz9oGYqyhpJYQH3djYZgBUfsOb f4fl5aE3mXgnaMNUOFq7jIPiepGiPw0WIPN46IN+Z3kXDIxynYU2fJQzTBpkPHCU S3HS/wbkDAD01H4pTiYyjMcmMpf8CHbWmYAE1MgotixjKSzgchE7ZJyRhGcWwKft Vt8C7ZUQzAdJC46416NzQMy1J3c53+7K09NwAIK2k3yhvRJnclOSoMEqlk4Vt/12 K/KnvyIrUucV8h0SacUZPCjFXVK7wUwKAReqZlq0VGYl2qS0zUcYDcV9/rOmhjEG ln5Yynesad8Zra8R9aq8JVjw4nOmFyaw8FTHbvg9vvO2doO4W9NvQc+hmBppQIyS DNO/6XgR4ssQgyaSmcrRDpFLVdoubHhFYNn5ta/H71jO2lmKl7XPDcPdiBuSsXqo RcOaM4n6HBiieaSA07qCRUVOb8aqHx6/8ye+chWjz8KR8UdDCVg= =LbY+ -----END PGP SIGNATURE-----